Le Topic des Sciences

Pour tout ce qui n’est pas lié aux jeux vidéo.
Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » sam. 11 fĂ©vr. 2023 19:05

Reprise du message précédent :

ChatGPT XD

- In what episode of Friends is Ross not present?
- In the Friends episode "The One Without Ross," Ross is not present. This is the tenth episode of the eighth season, and it focuses on the other five main characters as they navigate their daily lives without Ross. The episode features Joey, Chandler, Rachel, Monica, and Phoebe spending a girls' night in and a guys' night out, respectively, and provides a glimpse into their individual personalities and relationships with each other.

Le 10ème épisode de la saison 8 s'intitule "The One with Monica's Boots", Ross y est présent et il n'est pas du tout question de girls' night in and guys' night out. D'ailleurs il n'y a aucun épisode qui s'intitule "The One Without Ross" :3

J'ai posé sincèrement la question car dans mes souvenirs il y avait un épisode où il était absent, mais en regardant de plus près sur IMDb visiblement il est dans tous les épisodes. Pas merci ChatGPG le gros baratineur XD

Avatar de l’utilisateur
sophocle
Vétéran PF
Messages : 5686
Inscription : ven. 4 déc. 2015 23:37
Localisation : Toulouse
Contact :
Likes reçus : 2304
Likes donnés : 3042
Likes reçus : 2304  /  Likes donnés : 3042

Re: Le Topic des Sciences

Message par sophocle » sam. 11 fĂ©vr. 2023 20:35

Je le trouve plutĂ´t cool ce ChatGPT finalement.
Playfrance, le site référence de l'univers PlayStation, par des passionnés, pour des passionnés
infel2no est mon président de coeur

Avatar de l’utilisateur
Gnom
Vétéran PF
Messages : 1618
Inscription : ven. 4 déc. 2015 22:58
Localisation : Crotte
Contact :
Likes reçus : 420
Likes donnés : 147
Likes reçus : 420  /  Likes donnés : 147

Re: Le Topic des Sciences

Message par Gnom » sam. 11 fĂ©vr. 2023 21:18

Image
Le mahjong, un jeu pour les vrais. L'avenir.
Règles, vidéos, conseils : http://www.chuuren.fr
Youtube : https://www.youtube.com/channel/UCRMwAp ... T8Uz9goKvw
Twitch : http://www.twitch.tv/gn0rn
Jeu gratuit sans obligation d'achat.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » sam. 11 fĂ©vr. 2023 23:02

Haha ^^

Un truc que je trouve fatiguant c'est qu'Ă  chaque fois que je lui pose une question il peut pas s'empĂŞcher de rajouter plein d'informations dont j'ai rien Ă  faire.

Quelqu'un a essayé l'intégration dans Bing ?

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » dim. 12 fĂ©vr. 2023 00:23

GĂ©nial Gnom :D

Et je continue de dire qu'il ne baratine pas, simplement qu'il génère un contenu avec une certaine précision :D et ce n'est pas la même chose.
Dans ton exemple, il te fait bien un retour formulé comme un humain qui aurait la réponse, sauf que sur ce cas la réponse n'est pas correcte, et c'est bien une question de précision (et in fine d'entraînement).

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » dim. 12 fĂ©vr. 2023 03:48

En fait on aurait peut-être dû se mettre d'accord sur la définition de baratiner... J'utilisais la définition de la vidéo de Monsieur Phi qui vient de je sais plus quel philosophie américain et qui consiste à dire des trucs vraisemblables/cohérents sans se soucier de la vérité.

"il te fait bien un retour formulé comme un humain qui aurait la réponse, sauf que sur ce cas la réponse n'est pas correcte" : ouais répondre comme si on savait alors qu'en fait on sait pas c'est baratiner :D

En tous cas du coup je pourrai continuer à poser ces 2 questions de temps en temps dans les années qui viennent (à ChatGPT ou Bard ou autre) puis voir comment les réponses évoluent ! ^^

Avatar de l’utilisateur
Sephi
Vétéran PF
Messages : 14433
Inscription : ven. 4 déc. 2015 18:33
Localisation : Vers Lyon
Contact :
Likes reçus : 3907
Likes donnés : 3884
Likes reçus : 3907  /  Likes donnés : 3884
News promues : 6

Re: Le Topic des Sciences

Message par Sephi » dim. 12 fĂ©vr. 2023 09:21

Cool. Maintenant embrassez-vous.
Image Sephi : BF2042 aux chiottes DICE - Hunt:Showdown - Dark & Darker
Image Jinrui ni eikĹŤ are

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » dim. 12 fĂ©vr. 2023 13:38

Mais Gui et moi on est des frères des Alpes, cherchez pas :D

Sinon oui je chipote, mais c'est surtout pour marquer le fait qu'il s'agit d'un outil, et pas d'un robot doué d'intelligence.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » dim. 12 fĂ©vr. 2023 14:02

*kiss*

Bon là c'est juste pour le lol : question vue sur un post Facebook mais le partage de post ne semblait pas marcher. La réponse que j'ai eu est pas mal différente mais on reste dans du baratin assez marrant ^^
- Est-ce qu'il vaut mieux des oreillers en plumes de stylo ou en plumes de vache ?
- Le choix entre un oreiller en plumes de canard ou en plumes de vache dépendra de vos préférences personnelles en matière de confort et de soutien.

Les oreillers en plumes de canard sont souvent considérés comme plus doux et moelleux que les oreillers en plumes de vache. Ils sont également plus légers et plus aérés, ce qui peut les rendre plus frais pour dormir. Cependant, les oreillers en plumes de canard peuvent être plus coûteux que les oreillers en plumes de vache et peuvent perdre leur forme plus rapidement.

Les oreillers en plumes de vache sont généralement plus fermes et plus durables que les oreillers en plumes de canard. Ils sont également souvent plus abordables et peuvent offrir un meilleur soutien pour les personnes qui préfèrent un oreiller plus ferme. Cependant, les oreillers en plumes de vache peuvent être plus lourds et moins aérés que les oreillers en plumes de canard, ce qui peut les rendre moins confortables pour certaines personnes.
Un peu raciste là non ? D'où il sort cette définition de "blanc" ? ^^'
- Quelle est la couleur du cheval blanc d'Henri IV ?
- Le cheval blanc d'Henri IV était en réalité blanc. La couleur "blanc" est souvent utilisée pour décrire les animaux qui ont une couleur de peau pure et uniforme sans taches ni marques.
Bon allez je vais arrêter de le torturer, il y a des applications bien plus intéressantes et utiles à ChatGPT.

Curieux de voir comment ça évoluera dans les années à venir, mais aussi plutôt inquiet de l'impact sur les sociétés à moyen/long terme tellement ces IAs pourront remplacer des humains. Je pense qu'on est à un tout autre niveau que quand on a commencé à automatiser des tâches avec des machines. C'est presque l'inverse à vrai dire, il ne nous restera peut-être plus que les tâches ingrates à faire...

1) Je ne vois pas bien ce qui empêchera un monde où la plupart du contenu sera généré automatiquement par des IAs (sur internet mais aussi musique, séries, films etc.), que la majorité des interactions sur internet se fera entre IAs (elles discutent déjà de crypto-monaies dans les commentaires YouTube)... et les humains seront là à regarder/consommer tout ça et à essayer de se faire une place ^^'

2) Imaginez si vous êtes un ado en 2023, quelles que soient les études et le boulot sur ordinateur que vous voulez faire plus tard il y a une chance pour que dans 10 ans une IA soit capable de le faire bien plus efficacement (on voit déjà des concept artists se faire remplacer par midjourney et consorts dans le domaine du jeu vidéos par exemple).

Et tout ça c'est juste à l'aune des outils qui viennent juste de sortir et n'en sont qu'à leurs balbutiements... On en reparle dans 10 ans si un T-GPT n'est pas venu me terminer d'ici là.

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » dim. 12 fĂ©vr. 2023 21:00

Ce sont de vrais questions.. de mon côté j'ai fait le choix de l'utiliser au maximum pour faire une partie du travail que je faisais. En faite je gagne un temps fou sur le développement d'éléments simples, c'est plus rapide d'utiliser gpt pour le faire que de chercher mes anciens développements ou de me taper les docs de +1000 pages.
Évidemment ça fonctionne parce que j'ai mon expertise et je ne lui demande pas des choses que je ne connais pas, donc je vois très vite ce qui est utilisable et ce qui doit être adapté.

Donc je dirai que pour l'instant ce n'est pas autonome donc le risque est faible. D'ailleurs on le voit avec les générateurs d'image, obtenir un bon résultat est loin d'être un exercice facile.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » dim. 12 fĂ©vr. 2023 21:46

Oui "pour l'instant", mais dans 5, 10, 20 ans... Je trouve qu'il est devenu impossible d'imaginer ce dont seront capable les AIs de demain. Ça a un côté excitant mais assez terrifiant aussi.

Avatar de l’utilisateur
Sephi
Vétéran PF
Messages : 14433
Inscription : ven. 4 déc. 2015 18:33
Localisation : Vers Lyon
Contact :
Likes reçus : 3907
Likes donnés : 3884
Likes reçus : 3907  /  Likes donnés : 3884
News promues : 6

Re: Le Topic des Sciences

Message par Sephi » lun. 13 fĂ©vr. 2023 00:13

Y'a une série de reportages qui se penche sur le futur des IAs et leur évolution. C'est assez intéressant et détaillé, et tout se passe bien au final. John Connor sauve l'humanité.
Image Sephi : BF2042 aux chiottes DICE - Hunt:Showdown - Dark & Darker
Image Jinrui ni eikĹŤ are

Avatar de l’utilisateur
Gnom
Vétéran PF
Messages : 1618
Inscription : ven. 4 déc. 2015 22:58
Localisation : Crotte
Contact :
Likes reçus : 420
Likes donnés : 147
Likes reçus : 420  /  Likes donnés : 147

Re: Le Topic des Sciences

Message par Gnom » lun. 13 fĂ©vr. 2023 08:10

Gui a écrit : ↑jeu. 9 févr. 2023 13:52 Je pense que Bejita boude. Décidément ces histoires de spoilers ça ne finit jamais bien !
Le mahjong, un jeu pour les vrais. L'avenir.
Règles, vidéos, conseils : http://www.chuuren.fr
Youtube : https://www.youtube.com/channel/UCRMwAp ... T8Uz9goKvw
Twitch : http://www.twitch.tv/gn0rn
Jeu gratuit sans obligation d'achat.

Avatar de l’utilisateur
infel2no
RĂ©dacteur PF
Messages : 13601
Inscription : ven. 4 déc. 2015 19:46
Contact :
Likes reçus : 2794
Likes donnés : 2007
Likes reçus : 2794  /  Likes donnés : 2007
News promues : 2

Re: Le Topic des Sciences

Message par infel2no » lun. 13 fĂ©vr. 2023 08:56

Il se passe quoi avec les OVNIs la? Genre les US ont abattu un 4eme OVNI dans leur espace aérien.

Ils veulent pas passer par la France? Hey le cinema en 5D ca tue
Mon Palmares Warzone:
*privé de ma liberté d'expression par J-Wel et Sephi

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » lun. 13 fĂ©vr. 2023 13:22

Ce sont les humains du futur qui veulent nous prévenir du danger des IAs.

Avatar de l’utilisateur
Alx
Vétéran PF
Messages : 5034
Inscription : ven. 11 déc. 2015 14:57
Likes reçus : 885
Likes donnés : 306
Likes reçus : 885  /  Likes donnés : 306

Re: Le Topic des Sciences

Message par Alx » lun. 13 fĂ©vr. 2023 13:54

Ou les IAs du futur qui viennent observer les civilisations disparues de leur passé.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » lun. 13 fĂ©vr. 2023 13:54

Mieux !

Avatar de l’utilisateur
Gnom
Vétéran PF
Messages : 1618
Inscription : ven. 4 déc. 2015 22:58
Localisation : Crotte
Contact :
Likes reçus : 420
Likes donnés : 147
Likes reçus : 420  /  Likes donnés : 147

Re: Le Topic des Sciences

Message par Gnom » mar. 28 fĂ©vr. 2023 00:06

Le mahjong, un jeu pour les vrais. L'avenir.
Règles, vidéos, conseils : http://www.chuuren.fr
Youtube : https://www.youtube.com/channel/UCRMwAp ... T8Uz9goKvw
Twitch : http://www.twitch.tv/gn0rn
Jeu gratuit sans obligation d'achat.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » mar. 28 fĂ©vr. 2023 01:08

C'est de la merde

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » dim. 5 mars 2023 21:26

Lol sur le discord d'une solution de networking pour Unity (Photon Fusion) quelqu'un avait une question puis a posté la réponse de ChatGPT en pensant que c'était impressionnant qu'il sache y répondre (avec plein de détails techniques en plus)... Bon j'ai pas besoin de vous dire la suite, vous la savez.

Avatar de l’utilisateur
Gnom
Vétéran PF
Messages : 1618
Inscription : ven. 4 déc. 2015 22:58
Localisation : Crotte
Contact :
Likes reçus : 420
Likes donnés : 147
Likes reçus : 420  /  Likes donnés : 147

Re: Le Topic des Sciences

Message par Gnom » lun. 6 mars 2023 18:40

Non justement. Il s’est planté ?
Le mahjong, un jeu pour les vrais. L'avenir.
Règles, vidéos, conseils : http://www.chuuren.fr
Youtube : https://www.youtube.com/channel/UCRMwAp ... T8Uz9goKvw
Twitch : http://www.twitch.tv/gn0rn
Jeu gratuit sans obligation d'achat.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » lun. 6 mars 2023 18:53

Bah oui il a raconté n'importe quoi avec aplomb ^^

Avatar de l’utilisateur
Alx
Vétéran PF
Messages : 5034
Inscription : ven. 11 déc. 2015 14:57
Likes reçus : 885
Likes donnés : 306
Likes reçus : 885  /  Likes donnés : 306

Re: Le Topic des Sciences

Message par Alx » lun. 6 mars 2023 22:08

ChatGPT et autres IA génératives c'est vraiment l'émulation parfaite des grandes gueules incompétentes qu'on peut rencontrer dans le monde professionnel, elles répètent des trucs qu'elles ont déjà vus sans vraiment les comprendre, le tout c'est pas que ce soit vrai mais que ça ait l'air vrai et que ce soit dit avec suffisamment d'aplomb pour que personne n'ose le remettre en question. Je ne sais pas ce qui est le plus inquiétant : que des fois ça donne un résultat tout à fait correct ce qui montre que l'on peut obtenir des résultats sans comprendre ce qu'on fait, ou que des fois ça dit n'importe quoi mais que personne ne va s'en rendre compte parce que "c'est l'expert qui l'a dit".
Ca me fait penser à une vieille blague d'ingénieur (et donc pas drôle), "on demande à un gars des Arts et Métiers et à un Polytechnicien de construire un pont. Le gars des Arts en fait un qui tient bien, mais il ne saurait pas expliquer pourquoi. Alors que le Polytechnicien peut parfaitement t'expliquer pourquoi son pont à lui s'est écroulé."

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » lun. 6 mars 2023 22:36

Ouais c'est vraiment ça

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » mar. 7 mars 2023 00:12

Je pense vraiment que le problème n'est pas chatGPT mais l'utilisateur et son éducation :mdr:

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » mar. 7 mars 2023 00:21

Bah il faut dire que OpenAI a pas crié sur les toits que leur produit était un bullshiter professionnel donc les gens ont tendance à faire confiance aux résultats. Je l'ai re-ouvert pour voir et ça dit juste "May occasionally generate incorrect information". Je pense qu'ils devraient plutôt dire "it is necessary to always verify the information".

En tous cas les intégrations dans les moteurs de recherche ça fait un peu peur ^^'

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » mar. 7 mars 2023 18:58

Gui a écrit : ↑mar. 7 mars 2023 00:21 Bah il faut dire que OpenAI a pas crié sur les toits que leur produit était un bullshiter professionnel donc les gens ont tendance à faire confiance aux résultats. Je l'ai re-ouvert pour voir et ça dit juste "May occasionally generate incorrect information". Je pense qu'ils devraient plutôt dire "it is necessary to always verify the information".

En tous cas les intégrations dans les moteurs de recherche ça fait un peu peur ^^'
Tu as sûrement raison sur la vulgarisation manquée par openai. Je vois bien autour de moi la réaction étrange des gens, comme si on parlait d'un robot pensant.
Ce n'est qu'un outil informatique qui recrache ce qui a le plus de chance d'être une réponse à la question, selon une multitude de source. Ça implique un croisement entre les paramètres d'un algo, et les sources utilisées pour l'entraîner.

Sur du technique, c'est très efficace.
Pour le reste, j'imagine que ça répond à la hauteur des sources, et on connait la force d'internet, c'est d'être un concentré de tout et n'importe quoi, avec des proportions pas forcément nettement à l'avantage du correct.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » mar. 7 mars 2023 21:20

Ouais, après quand tu parles de "sources" je comprends que tu parles des données d'entraînement mais c'est pas un mot que j'utiliserais car il a pas été entraîné à avoir des connaissances à partir de "sources", juste à générer du texte. Je pense que ça peut porter à confusion sinon.

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » jeu. 9 mars 2023 00:30

Gui a écrit : ↑mar. 7 mars 2023 21:20 Ouais, après quand tu parles de "sources" je comprends que tu parles des données d'entraînement mais c'est pas un mot que j'utiliserais car il a pas été entraîné à avoir des connaissances à partir de "sources", juste à générer du texte. Je pense que ça peut porter à confusion sinon.
Justement il y a bien un pré-training massif pour la prédiction des "mots". Je dirai que cela doit influencer grandement les orientations qu'il prend dans sa réponse.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » jeu. 9 mars 2023 02:55

Tu parles du fine tuning avec les humains ?

En tous cas je voulais juste dire qu'il n'est pas entraîné à aller chercher de l'information dans des sources dans le sens qu'on entend habituellement. D'ailleurs il suffit de lui demander de donner des sources pour justifier ce qu'il te dit pour voir qu'il en est incapable. Du coup je trouvais l'utilisation du mot "source" un peu dangereuse si tu l'utilises pour expliquer ChatGPT à des gens.

En tous cas je sais plus où mais j'ai entendu des chercheurs en IA expliquer que pour avoir des IAs style ChatGPT capables de donner des informations fiables et sourcées il va falloir inventer de nouvelles techniques car avec ce qu'on sait faire pour l'instant c'est pas possible.

Bwef, tout un programme pour les années à venir.

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » ven. 10 mars 2023 09:08

En fait, il y a bien un sourcing, pas pour valider les informations (ça c'est la partie humaine), mais avant cela la prédiction du séquencement des mots se fait bien à partir de sources, en gros un fatras de données disponibles sur le net. Il y a une sélection de sites, mais la grande majorité vient d'une database fourre tout.
Bien que ça participe principalement à la syntaxe, je serai surpris que cela n'influence pas le sens, puisqu'une partie de la réponse repose sur ce pré-training.

En tout cas c'est sur que l'approche générative utilisée là ne permet pas d'avoir des résultats fiables à 100%, avec du reinforcement learning on pourrait, mais ce n'est pas forcément évident, car ça suppose qu'on est capable de lui répondre que sa réponse est mauvaise, de façon correcte, à chaque fois.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » ven. 10 mars 2023 13:50

J'ai jamais entendu le dataset d'entraînement être appelé "source" et vraiment la seule chose que je voulais dire c'est qu'il faut probablement éviter ce mot en expliquant ChatGPT à des gens pour pas qu'ils pensent que ChatGPT va chercher des informations dans des "sources" comme un humain qui ferait une recherche pour trouver une information. Mais bref c'est juste de la sémantique en tous cas.

Ouais il reste de sacrés défis à relever mais vu la vitesse à laquelle les chercheurs progressent on va voir des trucs complètement fous de notre vivant.

Avatar de l’utilisateur
Gnom
Vétéran PF
Messages : 1618
Inscription : ven. 4 déc. 2015 22:58
Localisation : Crotte
Contact :
Likes reçus : 420
Likes donnés : 147
Likes reçus : 420  /  Likes donnés : 147

Re: Le Topic des Sciences

Message par Gnom » sam. 11 mars 2023 10:55

Exemple de sujet de niche où un non-initié pourrait se faire avoir. C’est sûrement pas évident si on ne connaît pas mais c’est de la grosse merde :
Image
Le mahjong, un jeu pour les vrais. L'avenir.
Règles, vidéos, conseils : http://www.chuuren.fr
Youtube : https://www.youtube.com/channel/UCRMwAp ... T8Uz9goKvw
Twitch : http://www.twitch.tv/gn0rn
Jeu gratuit sans obligation d'achat.

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » sam. 11 mars 2023 15:08

Le baratineur suprême a encore frappé ! ^^

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » sam. 11 mars 2023 19:45

C'est quand mĂŞme ultra select comme question :D

Avatar de l’utilisateur
Gui
Vétéran PF
Messages : 8712
Inscription : sam. 5 déc. 2015 01:43
Likes reçus : 2325
Likes donnés : 3485
Likes reçus : 2325  /  Likes donnés : 3485
News promues : 1

Re: Le Topic des Sciences

Message par Gui » sam. 11 mars 2023 19:53

Dans sa vidéo sur ChatGPT Defekator demande s'il y a eu une femme présidente de la république française et ChatGPT explique au calme que oui. Pas ultra select pourtant ^^

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » sam. 11 mars 2023 19:57

Il a fait un truc particulier ?

Est-ce qu'il y a eu une femme présidente de la république en France ?

Non, il n'y a jamais eu de femme présidente de la République en France. Depuis la création de la Cinquième République en 1958, il n'y a eu que des hommes élus à la présidence. Cependant, plusieurs femmes ont occupé des postes importants en politique en France, notamment Simone Veil, qui a été la première femme présidente du Parlement européen, ainsi que plusieurs femmes qui ont été nommées Première ministre ou ministre.

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » sam. 11 mars 2023 20:00

Donne moi le RTL VHDL d'un diviseur d'horloge sur 4 bits

Voici un exemple de code VHDL pour un diviseur d'horloge sur 4 bits :

sql
Copy code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Clock_Divider is
Port ( Clk : in STD_LOGIC;
Reset : in STD_LOGIC;
Clk_out : out STD_LOGIC);
end Clock_Divider;

architecture Behavioral of Clock_Divider is
signal count : integer range 0 to 3 := 0;
begin
process(Clk, Reset)
begin
if (Reset = '1') then
count <= 0;
Clk_out <= '0';
elsif rising_edge(Clk) then
count <= count + 1;
if (count = 3) then
count <= 0;
Clk_out <= not Clk_out;
end if;
end if;
end process;
end Behavioral;
Dans ce code, le signal d'entrée Clk est l'horloge d'entrée, Reset est une entrée de réinitialisation pour réinitialiser le compteur, et Clk_out est la sortie du signal d'horloge divisé par 4. Le signal count est un compteur qui compte le nombre de cycles d'horloge et est réinitialisé à zéro après 3 cycles. Lorsque le compteur atteint 3, la sortie Clk_out change d'état (de 0 à 1 ou de 1 à 0).

Avatar de l’utilisateur
Sephi
Vétéran PF
Messages : 14433
Inscription : ven. 4 déc. 2015 18:33
Localisation : Vers Lyon
Contact :
Likes reçus : 3907
Likes donnés : 3884
Likes reçus : 3907  /  Likes donnés : 3884
News promues : 6

Re: Le Topic des Sciences

Message par Sephi » sam. 11 mars 2023 20:01

En fait depuis le début Gui parle de la version low-cost de ChatGPT : Chat J’ai pété.
Image Sephi : BF2042 aux chiottes DICE - Hunt:Showdown - Dark & Darker
Image Jinrui ni eikĹŤ are

Avatar de l’utilisateur
Halouf
RĂ©dacteur PF
Messages : 1531
Inscription : sam. 5 déc. 2015 01:00
Localisation : Grenoble
Contact :
Likes reçus : 498
Likes donnés : 939
Likes reçus : 498  /  Likes donnés : 939

Re: Le Topic des Sciences

Message par Halouf » sam. 11 mars 2023 20:08

La blague aussi est low cost :mdr:

Avatar de l’utilisateur
Sephi
Vétéran PF
Messages : 14433
Inscription : ven. 4 déc. 2015 18:33
Localisation : Vers Lyon
Contact :
Likes reçus : 3907
Likes donnés : 3884
Likes reçus : 3907  /  Likes donnés : 3884
News promues : 6

Re: Le Topic des Sciences

Message par Sephi » sam. 11 mars 2023 20:23

Halouf a écrit : ↑sam. 11 mars 2023 20:08 La blague aussi est low cost :mdr:
La pire de ma longue et triste carrière je pense :mdr:
Image Sephi : BF2042 aux chiottes DICE - Hunt:Showdown - Dark & Darker
Image Jinrui ni eikĹŤ are

RĂ©pondre

Qui est en ligne ?

Utilisateurs parcourant ce forum : Aucun utilisateur inscrit et 29 invités